Process Innovation Is Our Specialty

You’re constantly innovating to deliver the most advanced microelectronic devices. We’re right there with you. From the most advanced cleaning technology and plating processes to the ultimate in thermal deposition, we develop proprietary technologies that make your innovations possible. It all begins with Smart Megasonix™. You get thorough, comprehensive cleaning evenly across the wafer and without damage to device features. Precisely control both the power intensity and the distribution of megasonic cleaning.

SAPS™ cleaning technology: for flat and patterned wafers

If you’re producing both flat and patterned wafers, our proprietary Space Alternated Phase Shift (SAPS™) technology is your go-to. It removes random defects across an entire wafer much more efficiently than conventional jet-spray processes.

How? SAPS uses alternating phases of megasonic waves to deliver highly uniform megasonic energy to flat and patterned water surfaces on a microscopic level. Learn more about ACM’s SAPS cleaning systems.

TEBO™ cleaning technology for high-aspect-ratio 2D and advanced 3D patterned wafers

When you’re working with delicate, stacked structures, you need efficient, damage-free cleaning. Our Timely Energized Bubble Oscillation (TEBO™) technology provides precise, multi-parameter control of bubble cavitation during megasonic cleaning. Use it for all your 2D patterned chips and 3D structures such as FinFET, DRAM, 3D NAND, and 3D cross-point memory. Learn more about ACM’s TEBO cleaning systems.

Ultra SFP ap for advanced packaging applications

If you’re challenged by copper overburden of your through-silicon vias (TSV) or wafer warpage issues with fan-out wafer-level packaging (FOWLP) processes, you’ll be as excited as we are about our proven stress-free polishing (SFP) technology. The Ultra SFP ap is designed to address common yield issues associated with both TSV and FOWLP processes. Learn more about ACM’s SFP systems.

Tahoe: High-performance, eco-friendly SPM cleaning technology

When you need ultra-clean processes that are safe for the environment, you can trust the Ultra C Tahoe cleaning system. It takes sulfuric peroxide mix (SPM) cleaning to the next level by reducing the amount of sulfuric acid needed for the process, which in turn lowers both chemical and post-process waste-treatment costs. Learn more about our Tahoe cleaning system.

Ultra ECP map for front-end dual-damascene applications

Are you looking for Cu metal layer deposition capabilities for your dual-damascene structures for 55nm/40nm/28nm/14nm applications and beyond?

Then we’ve designed the Ultra ECP map for you. The system is configured with ACM’s exclusive Multi-Anode Partial Plating function, built on our proven electrochemical plating (ECP) technology. Learn more about ACM’s ECP systems.

  • 1
  • 2
  • 3
  • 4
  • 5

Who We Are

ACM Research is a global, world-class equipment manufacturer serving the IC, compound semi, wafer-level packaging and wafer manufacturing markets with a broad portfolio of products.

We develop, manufacture, and sell production equipment and provide service solutions for single-wafer or batch wet cleaning, electroplating, stress-free polishing, PECVD, track and thermal processes.

Contact Us

Looking for customized solutions for your wet wafer processing, ECP, Furnace, Track and SFP?

Click to access the login or register cheese